Skip to content
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
Show all changes
294 commits
Select commit Hold shift + click to select a range
a78fc95
match names
murphe67 Jul 21, 2025
8a02e78
text abs float
murphe67 Jul 21, 2025
668d86c
test abs float
murphe67 Jul 21, 2025
4ec2432
test abs float
murphe67 Jul 21, 2025
274c35e
test abs float
murphe67 Jul 21, 2025
12d96d8
test abs float
murphe67 Jul 21, 2025
940a174
math.h abs
murphe67 Jul 21, 2025
902525b
define abs
murphe67 Jul 21, 2025
149e2f2
divsi
murphe67 Jul 21, 2025
f05d429
syntax
murphe67 Jul 21, 2025
b3f9d41
typo
murphe67 Jul 21, 2025
9c4a6d1
try change slots?
murphe67 Jul 21, 2025
296dff3
check error source
murphe67 Jul 21, 2025
a92d46c
check error source
murphe67 Jul 21, 2025
5663370
back to div
murphe67 Jul 21, 2025
f50afa1
absf
murphe67 Jul 21, 2025
292f6c0
divui
murphe67 Jul 21, 2025
3a42c73
extf
murphe67 Jul 21, 2025
0fcf429
minimumf
murphe67 Jul 21, 2025
0d33453
syntax
murphe67 Jul 21, 2025
cd56171
syntax
murphe67 Jul 21, 2025
46232ce
syntax
murphe67 Jul 21, 2025
4e90927
syntax
murphe67 Jul 21, 2025
695f5d4
syntax
murphe67 Jul 21, 2025
9ad85f5
syntax
murphe67 Jul 21, 2025
81cc564
syntax
murphe67 Jul 21, 2025
c83a0f7
syntax
murphe67 Jul 21, 2025
1851b28
syntax
murphe67 Jul 21, 2025
e97ed29
syntax
murphe67 Jul 21, 2025
0c6845f
check error source
murphe67 Jul 21, 2025
1ea289b
check error source
murphe67 Jul 21, 2025
9002f1d
add latency attribute
murphe67 Jul 21, 2025
5eaa213
syntax
murphe67 Jul 21, 2025
122668d
remove old interface
murphe67 Jul 21, 2025
0a61679
get attr
murphe67 Jul 21, 2025
2886aaa
syntax
murphe67 Jul 21, 2025
315a95b
remove bug
murphe67 Jul 21, 2025
bd726c7
typo
murphe67 Jul 21, 2025
d077c78
fix matching
murphe67 Jul 21, 2025
76802be
fix matching
murphe67 Jul 21, 2025
3ad5bf7
typo
murphe67 Jul 21, 2025
1d09d66
fix matching
murphe67 Jul 21, 2025
6f60420
fix clock enables
murphe67 Jul 21, 2025
2f2455c
fix clock enables
murphe67 Jul 21, 2025
9f416e5
use bitwitdh for sub units
murphe67 Jul 21, 2025
a900cfe
remove trailing comma
murphe67 Jul 21, 2025
6b8fa5f
typo
murphe67 Jul 21, 2025
e33541f
fix double cmp entity
murphe67 Jul 21, 2025
caf18e3
syntax
murphe67 Jul 21, 2025
fb05fd1
update addf
murphe67 Jul 21, 2025
57b2ad2
addf
murphe67 Jul 21, 2025
3ec42d6
mulf
murphe67 Jul 21, 2025
ff30195
refactoring
murphe67 Jul 21, 2025
2f7b7f0
reduce imports
murphe67 Jul 21, 2025
8ccb9e7
syntax
murphe67 Jul 21, 2025
4e1a1bf
syntax
murphe67 Jul 21, 2025
3463a43
syntax
murphe67 Jul 21, 2025
2fd484a
refactor floating point plus arith
murphe67 Jul 23, 2025
ac329b4
merge new buffers
murphe67 Jul 23, 2025
a60490e
first full draft
murphe67 Jul 25, 2025
b664b86
fix tablegen
murphe67 Jul 25, 2025
666f4aa
fix uses
murphe67 Jul 25, 2025
4123cd3
fix tablegen
murphe67 Jul 25, 2025
258a313
extui
murphe67 Jul 25, 2025
0c44a41
sitofp
murphe67 Jul 25, 2025
1a1a6a3
rigidifier
murphe67 Jul 25, 2025
c29f391
rigidifier
murphe67 Jul 25, 2025
51d971d
rigidifier
murphe67 Jul 25, 2025
c151f48
rigidifier
murphe67 Jul 25, 2025
d8f3f71
rigidifier
murphe67 Jul 25, 2025
6cdb08b
fix signal manager
murphe67 Jul 25, 2025
296790d
fix bitwidths
murphe67 Jul 25, 2025
910bab0
typos
murphe67 Jul 25, 2025
f51aa69
typos
murphe67 Jul 25, 2025
6ee7d43
typo
murphe67 Jul 25, 2025
b2faff2
typo
murphe67 Jul 25, 2025
912242c
typo
murphe67 Jul 25, 2025
67f6d8e
typo
murphe67 Jul 25, 2025
01653cb
contiguous
murphe67 Jul 25, 2025
97aba73
contiguous
murphe67 Jul 25, 2025
1cb8efb
contiguous
murphe67 Jul 25, 2025
74d2341
comments
murphe67 Jul 25, 2025
b294ab4
typo
murphe67 Jul 25, 2025
2593f00
fpu_impl
murphe67 Jul 25, 2025
38b7832
fpu_impl
murphe67 Jul 25, 2025
bf7f507
fix ready
murphe67 Jul 25, 2025
885e233
cmpf
murphe67 Jul 25, 2025
d364378
cmpf
murphe67 Jul 25, 2025
a16ce22
subf json
murphe67 Jul 25, 2025
9836bca
subf component
murphe67 Jul 25, 2025
d480821
subf
murphe67 Jul 25, 2025
bcfc066
subf
murphe67 Jul 25, 2025
27449b8
subf
murphe67 Jul 25, 2025
fbd6de1
cmpf
murphe67 Jul 25, 2025
5348f33
arith1
murphe67 Jul 25, 2025
c92a75c
arith1
murphe67 Jul 25, 2025
3313523
arith1
murphe67 Jul 25, 2025
059d294
arith1
murphe67 Jul 25, 2025
a9a5062
arith1
murphe67 Jul 25, 2025
10e4015
arith1
murphe67 Jul 25, 2025
f81b873
truncf
murphe67 Jul 25, 2025
08047c3
divsi
murphe67 Jul 25, 2025
b1914af
divsi
murphe67 Jul 25, 2025
5695639
restore divsi
murphe67 Jul 26, 2025
a4f19a3
restore divsi
murphe67 Jul 26, 2025
0d91fcf
typo
murphe67 Jul 26, 2025
88313b8
ip wrappers in json
murphe67 Jul 26, 2025
1229008
clean up
murphe67 Jul 26, 2025
7c7fb32
separate ip wrapper generation
murphe67 Jul 26, 2025
c300839
divsi
murphe67 Jul 26, 2025
4d7d314
cmpf
murphe67 Jul 26, 2025
ac089f0
cmpf
murphe67 Jul 26, 2025
ba8b31f
arith ip
murphe67 Jul 26, 2025
e203703
typo
murphe67 Jul 26, 2025
95a9837
update cmpf latency
murphe67 Jul 26, 2025
a48eab6
update components.json
murphe67 Jul 26, 2025
952814d
update components.json
murphe67 Jul 26, 2025
fc4b529
top level unit generator
murphe67 Jul 26, 2025
488899a
top level unit generator
murphe67 Jul 26, 2025
5c15263
top level unit generator
murphe67 Jul 26, 2025
7385892
valid merger
murphe67 Jul 26, 2025
bb047e1
fix flopoco in old backend
murphe67 Jul 28, 2025
5866508
string variables
murphe67 Jul 28, 2025
1d8cef5
rigidifier
murphe67 Jul 28, 2025
ddcc2e6
dont change integration tests
murphe67 Jul 28, 2025
addc399
latency interface
murphe67 Jul 28, 2025
3e773d8
boilperplate
murphe67 Jul 28, 2025
88d2fcc
semi colon
murphe67 Jul 28, 2025
715f610
set latency
murphe67 Jul 28, 2025
45f1630
get latency
murphe67 Jul 28, 2025
3b0ad47
check
murphe67 Jul 28, 2025
67dfc97
check
murphe67 Jul 28, 2025
ceda52d
check
murphe67 Jul 28, 2025
67b714a
add dependencies for div
murphe67 Jul 28, 2025
192a8a3
update old json
murphe67 Jul 29, 2025
421e1bc
add new minimumf and maximumf
murphe67 Jul 29, 2025
c5b59ae
remove sharing wrapper
murphe67 Jul 29, 2025
d5f3ed6
latency interfaces
murphe67 Jul 29, 2025
d0c9fb9
update vhdl-beta json
murphe67 Jul 29, 2025
3b9d706
update vhdl-beta json
murphe67 Jul 29, 2025
6d8f87e
syntax
murphe67 Jul 29, 2025
718c1c3
remove latency interface sitopf
murphe67 Jul 29, 2025
8a51757
reset?
murphe67 Jul 29, 2025
3ad1d84
remove fptosi
murphe67 Jul 29, 2025
b56b69b
typo
murphe67 Jul 29, 2025
7dd6a7f
add param
murphe67 Jul 29, 2025
16dafdf
fptosi
murphe67 Jul 29, 2025
49e8a62
fptosi
murphe67 Jul 29, 2025
599e94d
fptosi latency interface
murphe67 Jul 29, 2025
ee5851e
fptosi latency interface
murphe67 Jul 29, 2025
6006cdd
fix latency
murphe67 Jul 29, 2025
e96dec6
readd components
murphe67 Jul 29, 2025
a40b4b6
typo
murphe67 Jul 29, 2025
e55c527
typo
murphe67 Jul 29, 2025
503aa7f
typo
murphe67 Jul 29, 2025
a2ea466
components restored
murphe67 Jul 29, 2025
69b695f
remove compomnent addf
murphe67 Jul 29, 2025
31afb45
reorder
murphe67 Jul 29, 2025
dff25f4
reorder
murphe67 Jul 29, 2025
9d62329
reorder
murphe67 Jul 29, 2025
9c07f79
add beta file
murphe67 Jul 29, 2025
d4b202a
remove beta
murphe67 Jul 29, 2025
fd78f2b
remove delay from delay insensitive fptosi
murphe67 Jul 30, 2025
8927c12
readd lowest frequency for fptosi
murphe67 Jul 30, 2025
c9957b6
remsi
murphe67 Jul 30, 2025
1e673d6
remsi
murphe67 Jul 30, 2025
c402130
remsi
murphe67 Jul 30, 2025
9bc3642
fix vivado wrappers
murphe67 Jul 30, 2025
17a8bc4
switch to 32
murphe67 Jul 30, 2025
48d3949
remove start
murphe67 Jul 30, 2025
55a600b
try latency 33?
murphe67 Jul 30, 2025
969fc89
remove start and done?
murphe67 Jul 30, 2025
d5c6dfd
new divisor
murphe67 Jul 30, 2025
198e98c
syntax
murphe67 Jul 30, 2025
35b7e6a
fix latency
murphe67 Jul 30, 2025
7d2d7e7
unchange integration tests
murphe67 Jul 30, 2025
c97addc
add latency interface to remsi
murphe67 Jul 30, 2025
0915259
update component.json
murphe67 Jul 30, 2025
5771353
remove reduce bitwidth tests for div
murphe67 Jul 30, 2025
5868ddb
better assert
murphe67 Jul 30, 2025
32eaf96
better assert
murphe67 Jul 30, 2025
a515b5b
typo
murphe67 Jul 30, 2025
a0472e6
typo
murphe67 Jul 30, 2025
e409ffa
typo
murphe67 Jul 30, 2025
0c0fc67
typo
murphe67 Jul 30, 2025
3dd74fc
typo
murphe67 Jul 30, 2025
9449596
typo
murphe67 Jul 30, 2025
8b6d036
remove integration change
murphe67 Jul 30, 2025
345f6b0
autopep8
murphe67 Jul 30, 2025
de79819
clang format?
murphe67 Jul 30, 2025
b80864b
clang format
murphe67 Jul 30, 2025
8e67400
clang format
murphe67 Jul 30, 2025
876e0ed
remove arith1
murphe67 Aug 7, 2025
2fef952
merge from sharing wrapper
murphe67 Aug 7, 2025
6a2d89c
merge json
murphe67 Aug 7, 2025
6b9b9d1
typo
murphe67 Aug 7, 2025
2a9c346
unary
murphe67 Aug 7, 2025
e3516b9
unary
murphe67 Aug 7, 2025
1af06b7
Merge branch 'main' of github.com:EPFL-LAP/dynamatic into feature/ful…
murphe67 Aug 21, 2025
8d4376e
Merge remote-tracking branch 'origin' into feature/full-beta
murphe67 Aug 22, 2025
4499c90
use shift registers
murphe67 Aug 22, 2025
5491bb1
remove polygeist path
murphe67 Aug 22, 2025
e169b98
Merge branch 'polygeist-path' of github.com:EPFL-LAP/dynamatic into f…
murphe67 Aug 22, 2025
8282ba3
typo
murphe67 Aug 22, 2025
8d551e0
Merge branch 'polygeist-path' of github.com:EPFL-LAP/dynamatic into f…
murphe67 Aug 22, 2025
4bfed54
fix includes
murphe67 Aug 22, 2025
2ec86ae
Merge branch 'polygeist-path' of github.com:EPFL-LAP/dynamatic into f…
murphe67 Aug 22, 2025
b719301
fixes
murphe67 Aug 22, 2025
1ab9e5e
clang format
murphe67 Aug 22, 2025
f6eed09
move into build include
murphe67 Aug 22, 2025
58ffe7e
clean up link creation
murphe67 Aug 22, 2025
3accda3
remove remove
murphe67 Aug 22, 2025
3a95934
Merge branch 'polygeist-path' of github.com:EPFL-LAP/dynamatic into f…
murphe67 Aug 22, 2025
9701151
add to adder
murphe67 Aug 22, 2025
78a06be
move to buffers
murphe67 Aug 22, 2025
4a2a2bc
remove imports
murphe67 Aug 22, 2025
31ce09c
updated signal name
murphe67 Aug 22, 2025
2ee5303
use real latency
murphe67 Aug 22, 2025
8471100
muli
murphe67 Aug 22, 2025
c1f44d1
sitopf
murphe67 Aug 22, 2025
294ed7a
add unary valid buffer ready
murphe67 Aug 22, 2025
d987dbb
fptosi
murphe67 Aug 22, 2025
8a53492
autopep8
murphe67 Aug 22, 2025
d7f8b4f
add comment for arith2
murphe67 Aug 22, 2025
ecb62f3
format
murphe67 Aug 22, 2025
2e3ccee
op name issue thing
murphe67 Aug 26, 2025
30e2587
handshake op
murphe67 Aug 26, 2025
2f61153
Merge branch 'main' of github.com:EPFL-LAP/dynamatic into feature/ful…
murphe67 Aug 26, 2025
b693b6b
ready remover
murphe67 Aug 27, 2025
f59e3d2
ready remover
murphe67 Aug 27, 2025
882875d
handshakeop
murphe67 Aug 27, 2025
9d8e751
vhdl-beta error message
murphe67 Aug 27, 2025
b22ce19
ori
murphe67 Aug 27, 2025
9771e2b
ready remover f
murphe67 Aug 27, 2025
790e21d
final comments
murphe67 Aug 27, 2025
a806cac
clang format
murphe67 Aug 27, 2025
0ddf7a0
fix get internal delay
murphe67 Oct 13, 2025
31fb0db
merge
murphe67 Oct 13, 2025
a02a5c6
syntax
murphe67 Oct 13, 2025
d6b5b00
syntax
murphe67 Oct 13, 2025
cb82d4f
syntax
murphe67 Oct 13, 2025
2d6d9a1
fix logic
murphe67 Oct 13, 2025
a2bf8f6
fix logic
murphe67 Oct 13, 2025
8c79419
arith binary sig manager support multi bitwidths
murphe67 Oct 13, 2025
e32e91c
sharing wrapper
murphe67 Oct 13, 2025
0f2e9b3
sharing wrapper
murphe67 Oct 13, 2025
8dbc6cc
format
murphe67 Oct 14, 2025
908dbf8
fix unit test
murphe67 Oct 14, 2025
ba9c2ce
beta backend doc
murphe67 Oct 16, 2025
26adcfb
beta backend doc
murphe67 Oct 16, 2025
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
508 changes: 462 additions & 46 deletions data/components.json

Large diffs are not rendered by default.

236 changes: 223 additions & 13 deletions data/rtl-config-vhdl-beta.json
Original file line number Diff line number Diff line change
@@ -1,9 +1,27 @@
[
{
"name": "handshake.absf",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t absf -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.addf",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t addf -p is_double=$IS_DOUBLE extra_signals=$EXTRA_SIGNALS",
"parameters": [
{
"name": "LATENCY",
"type": "unsigned"
},
{
"name": "INTERNAL_DELAY",
"type": "string"
},
{
"name": "FPU_IMPL",
"type": "string"
}
],
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t addf -p is_double=$IS_DOUBLE fpu_impl='\"$FPU_IMPL\"' internal_delay='\"$INTERNAL_DELAY\"' latency=$LATENCY extra_signals=$EXTRA_SIGNALS",
"dependencies": [
"flopoco_ip_cores"
"flopoco_ip_cores", "vivado_ip_wrappers"
]
},
{
Expand All @@ -20,11 +38,19 @@
{
"name": "PREDICATE",
"type": "string"
},
{
"name": "FPU_IMPL",
"type": "string"
},
{
"name": "LATENCY",
"type": "unsigned"
}
],
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t cmpf -p is_double=$IS_DOUBLE extra_signals=$EXTRA_SIGNALS predicate=\"'$PREDICATE'\"",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t cmpf -p is_double=$IS_DOUBLE fpu_impl='\"$FPU_IMPL\"' latency=$LATENCY extra_signals=$EXTRA_SIGNALS predicate=\"'$PREDICATE'\"",
"dependencies": [
"flopoco_ip_cores"
"flopoco_ip_cores", "vivado_ip_wrappers"
]
},
{
Expand Down Expand Up @@ -52,30 +78,140 @@
],
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t cmpi -p predicate=\"'$PREDICATE'\" bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.divf",
"parameters": [
{
"name": "LATENCY",
"type": "unsigned"
},
{
"name": "INTERNAL_DELAY",
"type": "string"
},
{
"name": "FPU_IMPL",
"type": "string"
}
],
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t divf -p is_double=$IS_DOUBLE fpu_impl='\"$FPU_IMPL\"' internal_delay='\"$INTERNAL_DELAY\"' latency=$LATENCY extra_signals=$EXTRA_SIGNALS",
"dependencies": [
"flopoco_ip_cores", "vivado_ip_wrappers"
]
},
{
"name": "handshake.divsi",
"parameters": [
{
"name": "LATENCY",
"type": "unsigned"
}
],
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t divsi -p bitwidth=$BITWIDTH latency=$LATENCY extra_signals=$EXTRA_SIGNALS",
"dependencies": [
"vivado_ip_wrappers"
]
},
{
"name": "handshake.remsi",
"parameters": [
{
"name": "LATENCY",
"type": "unsigned"
}
],
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t remsi -p bitwidth=$BITWIDTH latency=$LATENCY extra_signals=$EXTRA_SIGNALS",
"dependencies": [
"vivado_ip_wrappers"
]
},
{
"name": "handshake.divui",
"parameters": [
{
"name": "LATENCY",
"type": "unsigned"
}
],
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t divui -p bitwidth=$BITWIDTH latency=$LATENCY extra_signals=$EXTRA_SIGNALS",
"dependencies": [
"vivado_ip_wrappers"
]
},
{
"name": "handshake.negf",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t negf -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.extsi",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t extsi -p input_bitwidth=$INPUT_BITWIDTH output_bitwidth=$OUTPUT_BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.extf",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t extf -p extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.mulf",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t mulf -p is_double=$IS_DOUBLE extra_signals=$EXTRA_SIGNALS",
"parameters": [
{
"name": "LATENCY",
"type": "unsigned"
},
{
"name": "INTERNAL_DELAY",
"type": "string"
},
{
"name": "FPU_IMPL",
"type": "string"
}
],
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t mulf -p is_double=$IS_DOUBLE fpu_impl='\"$FPU_IMPL\"' internal_delay='\"$INTERNAL_DELAY\"' latency=$LATENCY extra_signals=$EXTRA_SIGNALS",
"dependencies": [
"flopoco_ip_cores"
"flopoco_ip_cores", "vivado_ip_wrappers"
]
},
{
"name": "handshake.maximumf",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t maximumf -p latency=$LATENCY extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.minimumf",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t minimumf -p latency=$LATENCY extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.muli",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t muli -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
"parameters": [
{
"name": "LATENCY",
"type": "unsigned"
}
],
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t muli -p bitwidth=$BITWIDTH latency=$LATENCY extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.select",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t select -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.subf",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t subf -p is_double=$IS_DOUBLE extra_signals=$EXTRA_SIGNALS",
"parameters": [
{
"name": "LATENCY",
"type": "unsigned"
},
{
"name": "INTERNAL_DELAY",
"type": "string"
},
{
"name": "FPU_IMPL",
"type": "string"
}
],
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t subf -p is_double=$IS_DOUBLE fpu_impl='\"$FPU_IMPL\"' internal_delay='\"$INTERNAL_DELAY\"' latency=$LATENCY extra_signals=$EXTRA_SIGNALS",
"dependencies": [
"flopoco_ip_cores"
"flopoco_ip_cores", "vivado_ip_wrappers"
]
},
{
Expand All @@ -86,6 +222,10 @@
"name": "handshake.trunci",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t trunci -p input_bitwidth=$INPUT_BITWIDTH output_bitwidth=$OUTPUT_BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.truncf",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t truncf -p extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.buffer",
"parameters": [
Expand Down Expand Up @@ -113,6 +253,19 @@
"types"
]
},
{
"name": "handshake.lazy_fork",
"parameters": [
{
"name": "SIZE",
"type": "unsigned"
}
],
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t lazy_fork -p size=$SIZE bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS",
"dependencies": [
"types"
]
},
{
"name": "handshake.sink",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t sink -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
Expand Down Expand Up @@ -160,6 +313,14 @@
"name": "handshake.cond_br",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t cond_br -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.not",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t not -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.br",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t br -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.source",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t source -p extra_signals=$EXTRA_SIGNALS"
Expand All @@ -182,6 +343,17 @@
"name": "handshake.store",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t store -p addr_bitwidth=$ADDR_BITWIDTH data_bitwidth=$DATA_BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.lsq",
Copy link
Collaborator Author

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

the goal will to be eventually that every entry points to the same python script so that we can kill this json file, but this will require a little bit more effort for this entry

"generator": "/usr/bin/env python3 $DYNAMATIC/tools/backend/lsq-generator-python/lsq-generator.py -o $OUTPUT_DIR -c $OUTPUT_DIR/$MODULE_NAME.json",
"use-json-config": "$OUTPUT_DIR/$MODULE_NAME.json",
"hdl": "vhdl",
"io-kind": "flat",
"io-map": [{ "clk": "clock" }, { "rst": "reset" }, { "*": "io_*" }],
"io-signals": {
"data": "_bits"
}
},
{
"name": "handshake.mem_controller",
"parameters": [
Expand All @@ -203,6 +375,14 @@
"types"
]
},
{
"name": "handshake.ori",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t ori -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.xori",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t xori -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.speculator",
"parameters": [
Expand Down Expand Up @@ -241,6 +421,10 @@
"name": "handshake.non_spec",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t non_spec -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.ndwire",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t ndwire -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "mem_to_bram",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t mem_to_bram -p addr_bitwidth=$ADDR_BITWIDTH data_bitwidth=$DATA_BITWIDTH"
Expand All @@ -253,17 +437,33 @@
"name": "handshake.shli",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t shli -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.shrsi",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t shrsi -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.sitofp",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t sitofp -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
"parameters": [
{
"name": "LATENCY",
"type": "unsigned"
}
],
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t sitofp -p latency=$LATENCY bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.fptosi",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t fptosi -p bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
"parameters": [
{
"name": "LATENCY",
"type": "unsigned"
}
],
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t fptosi -p latency=$LATENCY bitwidth=$BITWIDTH extra_signals=$EXTRA_SIGNALS"
},
{
"name": "handshake.ready_remover",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t ready_remover -p bitwidth=$BITWIDTH"
"name": "handshake.rigidifier",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t rigidifier -p bitwidth=$BITWIDTH"
},
{
"name": "handshake.valid_merger",
Expand All @@ -282,6 +482,13 @@
"types"
]
},
{
"name": "handshake.join",
"parameters": [
{ "name": "SIZE", "type": "unsigned"}
],
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t top_join -p size=$SIZE"
},
{
"name": "handshake.sharing_wrapper",
"parameters":[
Expand Down Expand Up @@ -314,6 +521,9 @@
{
"generic": "$DYNAMATIC/data/vhdl/support/flopoco_ip_cores.vhd"
},
{
"generic": "$DYNAMATIC/data/vhdl/support/vivado_ip_wrappers.vhd"
},
{
"generic": "$DYNAMATIC/data/vhdl/support/sharing_support.vhd"
}
Expand Down
2 changes: 1 addition & 1 deletion data/rtl-config-vhdl.json
Original file line number Diff line number Diff line change
Expand Up @@ -850,7 +850,7 @@
"module-name": "logic_not"
},
{
"name": "handshake.ready_remover",
"name": "handshake.rigidifier",
"generator": "python $DYNAMATIC/experimental/tools/unit-generators/vhdl/vhdl-unit-generator.py -n $MODULE_NAME -o $OUTPUT_DIR/$MODULE_NAME.vhd -t ready_remover -p bitwidth=$BITWIDTH"
},
{
Expand Down
2 changes: 1 addition & 1 deletion data/vhdl/arith/flopoco/subf.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -183,7 +183,7 @@ begin
R => result
);

operator : entity work.FPAdd_64bit(arch)
operator : entity work.FloatingPointAdder_64bit(arch)
port map(
clk => clk,
ce => oehb_ready,
Expand Down
4 changes: 2 additions & 2 deletions data/vhdl/support/flopoco_ip_cores.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -4604,14 +4604,14 @@ library std;
use std.textio.all;
library work;

entity FPAdd_64bit is
entity FloatingPointAdder_64bit is
port (clk, ce : in std_logic;
X : in std_logic_vector(11+52+2 downto 0);
Y : in std_logic_vector(11+52+2 downto 0);
R : out std_logic_vector(11+52+2 downto 0) );
end entity;

architecture arch of FPAdd_64bit is
architecture arch of FloatingPointAdder_64bit is
component RightShifterSticky53_by_max_55_F500_uid12 is
port ( clk, ce : in std_logic;
X : in std_logic_vector(52 downto 0);
Expand Down
Loading